DMCA.com Protection Status MediaTek says it will mass produce chip with TSMC’s 3nm process in 2024 – Times of India – News Market

MediaTek says it will mass produce chip with TSMC’s 3nm process in 2024 – Times of India

MediaTek says it will mass produce chip with TSMC's 3nm process in 2024 - Times of India

[ad_1]

MediaTek and Taiwan Semiconductor Manufacturing Company (TSMC) have announced that the former has developed its first chip using the manufacturer’s 3nm technology. The company has also announced that it is expected to begin the mass production of the flagship Dimensity SoCs next year.
“This marks a significant milestone in the long-standing strategic partnership between MediaTek and TSMC, with both companies taking full advantage of their strengths in chip design and manufacturing to jointly create flagship SoCs with high performance and low power features, empowering global end devices,” the company said in a statement.
Reports have suggested that Apple will be using TSMC’s 3nm manufacturing process for its next-generation chips, including the A17 Bionic for iPhones as well as M3 series processors for Macs. It was also claimed that Apple has secured all available orders for N3, making it an exclusive partner for 3nm chips.
Why does 3nm technology matter?
The Taiwanese chip manufacturer announced in December last year that volume production using its 3nm semiconductor node is giving good yields. TSMC’s 3nm process technology is claimed to provide enhanced performance, power and yield.
Compared with TSMC’s N5 process, TSMC’s 3nm technology is said to offer as much as 18% speed improvement at the same power, or 32% power reduction at the same speed, and an approximately 60% increase in logic density.
Joe Chen, president of MediaTek, said that TSMC’s manufacturing capabilities enable the company to “fully demonstrate its superior design in flagship chipsets, offering the highest performance and quality solutions to our global customers and enhancing the user experience in the flagship market.”
MediaTek said that its Dimensity SoCs are expected to empower smartphones, tablets, intelligent cars and various other devices starting in the second half of 2024.
“Throughout the years, we have worked closely with MediaTek to bring numerous significant innovations to the market and are honoured to continue our partnership into the 3nm generation and beyond,” said Dr Cliff Hou, senior vice president of Europe and Asia Sales at TSMC.



[ad_2]

Source link

Leave a Reply

Your email address will not be published. Required fields are marked *